Multi-source in Unit <###> on signal <###>; this signal is connected to multiple drivers [duplicate]

Solution 1:

The problem is that you are assigning the signal nfw from within two processes. That's not possible. You would have to use two different signals (if you need them) and somehow combine them combinationally. Resp.: You could add a if (rst_n='1') into the second process and assign the reset value of nfw there.